Quantcast
Channel: Cadence Logic Design Forum
Browsing all 351 articles
Browse latest View live

Image may be NSFW.
Clik here to view.

Challenge in memorizing the numerous

 Challenge in memorizing the numerousChallenge in memorizing the numerous succeeding digits in the number pi is due to its lack of discernible pattern. This makes memorizing it difficult, but also a...

View Article


Image may be NSFW.
Clik here to view.

Multi Mode synthesis v/s Multi Corner synthesis

 hi,  i just have a simple question that whether RC tool goes for Multi mode synthesis and multi corner synthesis at the same time or not ? Likewise do we need to do any settings on the same for both...

View Article


Image may be NSFW.
Clik here to view.

Disable Scan Shift Enable in Functional Mode

Hello,I'm trying to disable shift enable in function mode.  This is the VHDL code: i_scan_shift_enable <= '0' when scan_mode_in = '0' else scan_shift_enable;   So "scan_shift_enable" is driven from...

View Article

Image may be NSFW.
Clik here to view.

rigid flex

Printed circuit board product is the best product as yet. It is time and money saving board.At present [/url=http://www.actpcb.com/]rigid flex[/url] Printed circuit boards are use by a large group.It...

View Article

Image may be NSFW.
Clik here to view.

Which VDD and Ground sources?

Hi guys, Just wondering if anyone could explain which power and ground symbols are the best to use in Allegro design entry hdl? im a newbie to design entry hdl. Thanks.Regards 

View Article


Image may be NSFW.
Clik here to view.

Command to Store, Restore a RTL Compiler session

Are there commands similar to synopsys's save_session and load_session in RTL Compiler.

View Article

Image may be NSFW.
Clik here to view.

Warning during RTL Synthesis

Hi, When I compile my RTL, among I get the following warning Warning : Possible timing problems have been detected in this design. [TIM-11]        : The design is 'counter'.Can anyone tell me what does...

View Article

Image may be NSFW.
Clik here to view.

synthesis warning of undriven signal

 If there are some undriven signals in my design,can RTL-Compiler find that? Is there any synthesis "warning", "Info" or "Error about this issue?Should I set any attribure to find this problem?   Here...

View Article


Image may be NSFW.
Clik here to view.

RC: set_min/max_delay breaks the constrained paths

I have used following command(s) to constraint path delays on FF->FF path:set_max_delay -from $some_flops -to [get_pin inst/in_i*] 8The path that goes through [get_pin inst/in_i*] ends in a target...

View Article


Image may be NSFW.
Clik here to view.

Power Difference between Analog Simulation and RTL complier estimation

Hallo, I am creating a standard cell library. I did analog simulation for cell design and estimated power values for the cells , lets say, NOT, NOR and NAND and I have their power values for static,...

View Article

Image may be NSFW.
Clik here to view.

Error : Verilog-2001 feature.

Dear all,  I am trying to synthesize a design using RTL compiler (Version v07.10-p004_1 (32-bit), built Jun 18 2007). The tool gives the following error information:  always @* begin        |Error   :...

View Article

Image may be NSFW.
Clik here to view.

Problem occurs when reading vcd in RTL Compiler

Dear all,I need to analyze the power consumption using RTL Compiler based on the VCD file generated by ModelSim. I have two files:gcm.v (This is the main circuit. Module name is "gcm")tb.v (This is the...

View Article

Image may be NSFW.
Clik here to view.

Where is 7400.olb ?

I'm trying to follow the documentation so I can learn to use the software, but it asks for thingsthat do not exist.  It calls for 7400.olb for specific components. Where can I find that file ? Or the...

View Article


Image may be NSFW.
Clik here to view.

set_false_path -through and set_load on the output ports

If i have set false paths through all the output ports and also specified a specific load on the output ports, will rccompiler/encounter optimize these paths to meet the DRV's that arise because of the...

View Article

Image may be NSFW.
Clik here to view.

ELC - Generic PDK 45 version 3.5 - Redefinition error

Hey,I'm using ELC to characterize a standard cell library I've created based on the Generic 45nm PDK,version 3.5. I have created the netlist successfully,but when I run the db_prepare command,I get the...

View Article


Image may be NSFW.
Clik here to view.

What does area reported by RTL compiler mean?

I compile my design to a 45nm library. RTL compiler gives me the following report:  Type Instances Area Area % --------------------------------------- sequential 2237 19102.387 15.8 inverter 6982...

View Article

Image may be NSFW.
Clik here to view.

Error with Vendor-contributed Models in Simulation

I wanted to use AD8002 opamp in my design so I used  the Vendor-contributed Models libraries from the downloads page here http://www.cadence.com/products/orcad/pages/downloads.aspx in the design to get...

View Article


Image may be NSFW.
Clik here to view.

Verilog simulation using verilog XL

 Hi,i want to simulate a sample MUX21 realised on my schematic. I think, before going on the verilog XL , i need to put a load capacitor (classical cap) at the output to be able to see my output signal...

View Article

Image may be NSFW.
Clik here to view.

RTL Compiler: VCD Annotation and CPF

Hi, In RTL compiler, I have successfully used the following flow- Read Verilog Netlist- Read VCD Annotation Data between times t0 and t1 (Chip "Active") - Report Power for "Active"- Reset Design- Read...

View Article

Image may be NSFW.
Clik here to view.

module naming with hexadecimal values

  Can anyone help me with the command for LEC-XL to generate  modules with Hexadecimal values (instead of Decimal) of inputs signals ?  Example :    1.)   add #( x(23) , y (1'h0) , z(1)) (.clk (clk)...

View Article
Browsing all 351 articles
Browse latest View live